/[svn]/gigedit/trunk/src/gigedit/gigedit.h
ViewVC logotype

Diff of /gigedit/trunk/src/gigedit/gigedit.h

Parent Directory Parent Directory | Revision Log Revision Log | View Patch Patch

revision 1225 by schoenebeck, Sun Jun 10 10:56:11 2007 UTC revision 1322 by schoenebeck, Tue Sep 4 11:04:56 2007 UTC
# Line 22  Line 22 
22    
23  #include <gig.h>  #include <gig.h>
24    
25    #include <list>
26    #include <sigc++/signal.h>
27    
28  class GigEdit {  class GigEdit {
29  public:  public:
30      static int run();      int run();
31      static int run(const char* pFileName);      int run(const char* pFileName);
32      static int run(gig::Instrument* pInstrument);      int run(gig::Instrument* pInstrument);
33    
34        sigc::signal<void, gig::File*> signal_file_structure_to_be_changed();
35        sigc::signal<void, gig::File*> signal_file_structure_changed();
36        sigc::signal<void, std::list<gig::Sample*> > signal_samples_to_be_removed();
37        sigc::signal<void> signal_samples_removed();
38        sigc::signal<void, gig::Region*> signal_region_to_be_changed();
39        sigc::signal<void, gig::Region*> signal_region_changed();
40        sigc::signal<void, gig::DimensionRegion*> signal_dimreg_to_be_changed();
41        sigc::signal<void, gig::DimensionRegion*> signal_dimreg_changed();
42        sigc::signal<void, gig::Sample*/*old*/, gig::Sample*/*new*/> signal_sample_ref_changed();
43    
44    private:
45        sigc::signal<void, gig::File*> file_structure_to_be_changed_signal;
46        sigc::signal<void, gig::File*> file_structure_changed_signal;
47        sigc::signal<void, std::list<gig::Sample*> > samples_to_be_removed_signal;
48        sigc::signal<void> samples_removed_signal;
49        sigc::signal<void, gig::Region*> region_to_be_changed_signal;
50        sigc::signal<void, gig::Region*> region_changed_signal;
51        sigc::signal<void, gig::DimensionRegion*> dimreg_to_be_changed_signal;
52        sigc::signal<void, gig::DimensionRegion*> dimreg_changed_signal;
53        sigc::signal<void, gig::Sample*/*old*/, gig::Sample*/*new*/> sample_ref_changed_signal;
54  };  };
55    
56  #endif // GIGEDIT_H  #endif // GIGEDIT_H

Legend:
Removed from v.1225  
changed lines
  Added in v.1322

  ViewVC Help
Powered by ViewVC