/[svn]/gigedit/trunk/src/gigedit/gigedit.h
ViewVC logotype

Diff of /gigedit/trunk/src/gigedit/gigedit.h

Parent Directory Parent Directory | Revision Log Revision Log | View Patch Patch

revision 1339 by schoenebeck, Mon Sep 10 19:56:26 2007 UTC revision 1656 by schoenebeck, Sat Feb 2 08:18:19 2008 UTC
# Line 1  Line 1 
1  /*                                                         -*- c++ -*-  /*                                                         -*- c++ -*-
2   * Copyright (C) 2007 Andreas Persson   * Copyright (C) 2007, 2008 Andreas Persson
3   *   *
4   * This program is free software; you can redistribute it and/or   * This program is free software; you can redistribute it and/or
5   * modify it under the terms of the GNU General Public License as   * modify it under the terms of the GNU General Public License as
# Line 27  Line 27 
27    
28  class GigEdit {  class GigEdit {
29  public:  public:
30      int run();      GigEdit();
31      int run(const char* pFileName);  
32        int run(int argc, char* argv[]);
33      int run(gig::Instrument* pInstrument);      int run(gig::Instrument* pInstrument);
34    
35      sigc::signal<void, gig::File*>& signal_file_structure_to_be_changed();      sigc::signal<void, gig::File*>& signal_file_structure_to_be_changed();
# Line 41  public: Line 42  public:
42      sigc::signal<void, gig::DimensionRegion*>& signal_dimreg_changed();      sigc::signal<void, gig::DimensionRegion*>& signal_dimreg_changed();
43      sigc::signal<void, gig::Sample*/*old*/, gig::Sample*/*new*/>& signal_sample_ref_changed();      sigc::signal<void, gig::Sample*/*old*/, gig::Sample*/*new*/>& signal_sample_ref_changed();
44    
45  void test(gig::File*);      void on_note_on_event(int key, int velocity);
46        void on_note_off_event(int key, int velocity);
47    
48  private:  private:
49      sigc::signal<void, gig::File*> file_structure_to_be_changed_signal;      sigc::signal<void, gig::File*> file_structure_to_be_changed_signal;
# Line 53  private: Line 55  private:
55      sigc::signal<void, gig::DimensionRegion*> dimreg_to_be_changed_signal;      sigc::signal<void, gig::DimensionRegion*> dimreg_to_be_changed_signal;
56      sigc::signal<void, gig::DimensionRegion*> dimreg_changed_signal;      sigc::signal<void, gig::DimensionRegion*> dimreg_changed_signal;
57      sigc::signal<void, gig::Sample*/*old*/, gig::Sample*/*new*/> sample_ref_changed_signal;      sigc::signal<void, gig::Sample*/*old*/, gig::Sample*/*new*/> sample_ref_changed_signal;
58        void* state;
59  };  };
60    
61  #endif // GIGEDIT_H  #endif // GIGEDIT_H

Legend:
Removed from v.1339  
changed lines
  Added in v.1656

  ViewVC Help
Powered by ViewVC