--- linuxsampler/trunk/src/engines/sf2/SF2SignalUnitRack.cpp 2017/04/16 23:20:30 3117 +++ linuxsampler/trunk/src/engines/sf2/SF2SignalUnitRack.cpp 2017/04/21 13:33:03 3118 @@ -151,7 +151,7 @@ start_level_min, 1, 0, false, samplerate ); - update(0); + updateByMIDICtrlValue(0); } void ModLfoUnit::Increment() { @@ -178,7 +178,7 @@ pVoice->pRegion->GetVibLfoToPitch(pVoice->pPresetRegion), 0, false, samplerate ); - update(0); + updateByMIDICtrlValue(0); } void VibLfoUnit::Increment() {