/[svn]/linuxsampler/trunk/ChangeLog
ViewVC logotype

Diff of /linuxsampler/trunk/ChangeLog

Parent Directory Parent Directory | Revision Log Revision Log | View Patch Patch

revision 3714 by schoenebeck, Sat Jan 11 20:19:11 2020 UTC revision 3966 by schoenebeck, Sat Jun 19 17:30:48 2021 UTC
# Line 1  Line 1 
1  Version SVN trunk (?)  Version SVN trunk (?)
2    
3      * GigaStudio/Gigasampler format engine:
4        - Use libgig's new IO-per-thread feature to avoid file I/O concurrency
5          issues with .gig file.
6        - Use libgig's new method gig::File::GetSample() with method
7          gig::File::CountSamples() instead of now deprecated
8          gig::File::GetFirstSample() / gig::File::GetNextSample() pair.
9        - Use libgig's new method gig::Instrument::GetRegionAt() with method
10          gig::Instrument:CountRegions() instead of now deprecated
11          gig::Instrument::GetFirstRegion() / gig::Instrument::GetNextRegion() pair.
12    
13    Version 2.2.0 (9 May 2021)
14    
15    * general changes:    * general changes:
16      - Fixed compiler error in Pool.h.      - Fixed compiler error in Pool.h.
17      - Require C++14 compiler support.      - Require C++14 compiler support.
# Line 47  Version SVN trunk (?) Line 59  Version SVN trunk (?)
59      - Added support for 'null function RPN/NRPN' (which is RPN/NRPN parameter      - Added support for 'null function RPN/NRPN' (which is RPN/NRPN parameter
60        MSB=127 and LSB=127) and which is disabling subsequent data        MSB=127 and LSB=127) and which is disabling subsequent data
61        entry/increment/decrement CC messages.        entry/increment/decrement CC messages.
62        - Fixed crash when editing key groups (a.k.a. exclusive groups) with an
63          instrument editor.
64        - Fixed playing noise at the end of a disk stream under certain conditions.
65        - Fixed potential crash with high pitch at end of RAM playback.
66        - Added configure checks whether C++ compiler supports so called
67          'designated initializers'.
68        - Added global backtraceAsString() function for debugging purposes
69          (POSIX systems only, no Windows implementation yet).
70        - Thread class: Added new methods pushCancelable(), popCancelable(), name(),
71          nameOfCaller() and setNameOfCaller().
72        - configure: Always check for availability of pthread_testcancel() and use
73          it if so (previously it was only used if explicitly enabled by configure
74          option).
75        - Thread class: raise compiler warning if pthread_testcancel() is not
76          available, because it can lead to deadlocks.
77        - Mutex class: Implemented optional bug detection and deadlock debugging
78          features.
79        - Ref<> class is now thread safe (as lock-free and wait-free
80          implementation).
81        - Fixed compilation error with Bison >= 3.6.0.
82        - Fixed rare crash when switching between instruments that had instrument
83          scripts loaded.
84        - Allow EffectChain::InsertEffect() as alternative to
85          EffectChain::AppendEffect() (i.e. with index equal to current chain size).
86        - ConstCapacityArray class: Fixed bug in remove() method.
87        - Fixed crash on certain MIDI RPN and NRPN messages that occurred if no
88          real-time instrument script was loaded.
89    
90    * Real-time instrument scripts:    * Real-time instrument scripts:
91      - Added method ScriptVM::setExitResultEnabled() which allows to      - Added method ScriptVM::setExitResultEnabled() which allows to
# Line 234  Version SVN trunk (?) Line 273  Version SVN trunk (?)
273      - Fix: built-in functions returning an array type were broken and caused a      - Fix: built-in functions returning an array type were broken and caused a
274        crash when the built-in function's (supposed to be array) result value was        crash when the built-in function's (supposed to be array) result value was
275        used by the script VM.        used by the script VM.
276        - Removed upper value constraint for 2nd argument of built-in functions
277          "change_amp_lfo_freq()", "change_cutoff_lfo_freq()",
278          "change_pitch_lfo_freq()","change_reso()", "change_cutoff()",
279          "change_amp_lfo_depth()", "change_cutoff_lfo_depth()" and
280          "change_pitch_lfo_depth()", to allow e.g. passing value 2000000 to double
281          the LFO frequency / resonance / cutoff frequency.
282        - Reduced code involved for parsing NKSP variable declarations by using 2
283          generalized grammar rules with optional components (i.e. optional value
284          assignment and optional variable qualifiers) instead of previous linear
285          combinations of grammar rules, which also fixes some edge case.
286        - NKSP language parser: track code block locations also by a) raw byte
287          position in NKSP source code string and b) length of that code block in
288          bytes (along to the already existing code block tracking by line/column);
289          and added appropriate new members to public C++ API classes like
290          'firstByte' and 'lengthBytes' to class VMSourceToken and struct CodeBlock.
291        - NKSP language: Added support for 'patch' variable qualifier (capture their
292          locations and allow replacement of their assignment expression via VM C++
293          API).
294        - Fixed incorrect EG times if standard measuring unit was passed to built-in
295          functions "change_attack()", "change_decay()" or "change_release()".
296        - Fixed intermediate function result values never having reflected any
297          standard measuring unit type.
298        - Fixed re-entrant issue with function calls which caused wrong result
299          values if the same function was called multiple times in a term
300          (specifically if metric prefixes were used).
301        - NKSP language: Just throw a warning, not an error if an array variable of
302          size zero was declared.
303        - NKSP language: Allow omitting explicit array size on array variable
304          declaration if combined with immediate value assignment
305          (e.g. declare %foo[] := ( 1, 2, 3 ) ).
306        - NKSP language: emit warning if an array variable was declared with bigger
307          array size than amount of initial values been assigned, and initialize the
308          missing array elements with zero in this case.
309        - Fixed built-in NKSP exit() function to behave as return statement.
310        - NKSP parser: Fixed crash if unary '-' operator was used on a non-number
311          data type.
312        - NKSP VM: Fixed the "release" handler being executed too often under
313          certain situations if polyphonic data was passed from "note" handler to
314          "release" handler.
315        - Fixed memory leak in NKSP parser: string tokens were allocated as C
316          strings and never freed.
317        - Fixed incorrect polyphonic data transfer from wrong note handler to
318          release handler; which also fixes no release handler being executed
319          sometimes, and due to the latter it also fixes potential crashes as some
320          polyphonic script events were never released and the engine hence was
321          running out of free script events.
322        - Fixed crash when handling array results of built-in functions
323          (e.g. "num_elements(by_marks($MARK_1)").
324        - NKSP VM: Fixed dead note events not been removed from user's event groups
325          (i.e. causing incorrect behaviour of built-in NKSP functions by_marks()
326          and set_event_mark() after max. size of event group list was exhausted).
327    
328    * test cases:    * test cases:
329      - Fixed compiler errors in test cases.      - Fixed compiler errors in test cases.
# Line 259  Version SVN trunk (?) Line 349  Version SVN trunk (?)
349        "sin()", "cos()", "tan()", "asin()", "acos()", "atan()".        "sin()", "cos()", "tan()", "asin()", "acos()", "atan()".
350      - Added NKSP test cases for unary '+' operator.      - Added NKSP test cases for unary '+' operator.
351      - Added NKSP test cases for core built-in variables.      - Added NKSP test cases for core built-in variables.
352        - Added thorough NKSP test cases for variable declarations.
353    
354    * GigaStudio/Gigasampler format engine:    * GigaStudio/Gigasampler format engine:
355      - LFOTriangleIntMath and LFOTriangleIntAbsMath: Fixed FlipPhase=true      - LFOTriangleIntMath and LFOTriangleIntAbsMath: Fixed FlipPhase=true
# Line 277  Version SVN trunk (?) Line 368  Version SVN trunk (?)
368      - Format extension: Support for additional filter types:      - Format extension: Support for additional filter types:
369        lowpass 1/2/4/6-pole, highpass 1/2/4/6-pole, bandpass 2-pole,        lowpass 1/2/4/6-pole, highpass 1/2/4/6-pole, bandpass 2-pole,
370        bandreject 2-pole.        bandreject 2-pole.
371        - Fixd potential crash with polynomial interpolator and max. pitch.
372        - If minimum cutoff is defined, remap cutoff controller CC values to really
373          span the entire possible cutoff range (and avoiding a dead controller
374          zone).
375        - Implemented support for loading instrument scripts with 'patch' variables
376          (by patching these script variables with potentially overridden ones by
377          gig Instrument).
378    
379    * SFZ format engine:    * SFZ format engine:
380      - Fixed support for regions with loccN/hiccN conditions on more than one      - Fixed support for regions with loccN/hiccN conditions on more than one
381        MIDI controller.        MIDI controller.
382        - Fixed internal opcode 'xfin_hivel' falsely being set by user opcode
383          'xfin_lovel' (patch by Christian Czezatke).
384    
385    * Benchmarks:    * Benchmarks:
386      - Fixed benchmarks/triang.cpp falsely having favoured "int math abs"      - Fixed benchmarks/triang.cpp falsely having favoured "int math abs"

Legend:
Removed from v.3714  
changed lines
  Added in v.3966

  ViewVC Help
Powered by ViewVC